关闭

芯片验证工程师

所属频道 公众号精选
分享技术、享受生活
  • 什么是CISC和RISC的体系结构?它们彼此之间有何不同?

    CISC [ComplexinstructionsetComputing]CISC [ComplexinstructionsetComputing]是指复杂指令集计算。1.具有非常多的指令,以满足各领域需求。2.简化了编译器来提高性能,因为使用一些高级指令能够减少指令的个数(单个...

  • 6个不该有的验证误区

    01这是经过硅验证的IP,无需进行完备的测试和覆盖你确定所有功能cross场景是否都经过硅验证么。你确定应用场景和外部约束都没有变化么?02tapeout出去的是RTL流片,无需检视验证环境,只要检视验证计划就足够了70%的时间花在验证上,其中相当多的时间花在开发测试平台上、可重...

  • 静态时序分析(STA)和门级仿真(Gate-level Simulation,GLS)有什么区别?

    门级仿真:1、门级仿真无法测试所有的场景,因为它依赖于输入的测试向量。2、进行精确时序的仿真速度很慢,而且需要大量的服务器内存。3、适合检查不同时钟域之间的异步接口。4、需要大量的计算资源(CPU时间、磁盘空间等)。5、能够可以帮助验证综合过程中设置的时序约束,如falsepat...

  • SystemVerilog中package import和`include方式的差异

    我们经常遇到的问题就是:我应该怎么加载我定义的class,是用import的方式还是`include的方式?为了解答这个问题,首先应该对systemverilog的类型机制有更多的了解,特别是强弱类型转换机制(strongandweaktyping)。在编程语言中,相反于在不同类...

  • Verilog 面试问题

    1、Verilogtask和Verilogfunction有什么区别?1.function应在一个仿真时间单元内执行,task可以包含时序控制语句。2.function无法启用task,task可以启用其他task或function。3.function应返回一个单个值,task...

    公众号精选
    2021-11-15
  • Verilog面试题:请问至少需要深度为多少的FIFO

    两个系统(SystemA和SystemB),使用两个不同的时钟clkA(100MHz)和clkB(70MHz)。这两个时钟彼此之间都是异步的。数据必须从SystemA传递到SystemB。SystemA能够在100个时钟周期内写入70个word的数据,而SystemB在每个时钟周...

    公众号精选
    2021-11-15
  • 当你发现一个bug,该怎么和设计沟通?

    验证工程师的任务是发现所有的设计bug。为此验证工程师必须要理解设计,并且和设计进行密切地合作。 验证工程师对于设计的理解分为两次层次,规格级别( specificationlevel )和RTL实现级别(implementationlevel ) 规格级别规定了设计的整体功能。...

    公众号精选
    2021-11-12
  • 数字芯片验证项目中设计验证的比例应该是多少?

    一些团队中的工程师既担任设计又担任验证,在编写HDL后顺便执行验证。而另外的一些团队使用独立的验证团队,相比设计人员同时扮演双重角色有明显的优势: 一、验证是一个独立的工种,需要具有和设计完全不同的很多技能,其中最主要的只有两件事(1、创造完备的输入激励场景;2、进行完备的功能检...

  • 芯片中测试(test)和验证(verification)有什么不同 ?

    在数字芯片中很多事情都可以称之为verificaiton,例如 functionalverificationtimingverificationtestverification一般在中文里面为了方便区分,我们可以分别称之为功能验证、时序检查和芯片测试。芯片测试的重点是快速检测从生...

  • 芯片验证中的两学一做

    做复杂事情井井有序是对一个人优良品格的赞美,对于芯片功能验证也是一样。芯片验证的最终目的就是确保交付一个没有功能bug的RTL,为了达成这个目标就需要一个有经验的验证工程师的带领,即需要经过多个项目摸打滚爬的工程师的指导。 这里总结为两学一做,即做前学-做-做后学。 做前学:数字...

  • 芯片验证计划需要包含哪些内容?

    首先,验证计划非常非常重要!因为它就是芯片验证的导向,回答了两个问题1、我在验证什么?2、我如何验证?事不预则不立,没有认真制定验证计划,验证过程和验证结果也不会乐观的。极有可能一地鸡毛,疯狂漏测。 在书写验证计划的时候,验证工程师最好视设计或者架构师为顾问。如果设计复杂,还需要...

  • 有哪些意识会使芯片验证失败,遗漏bug

    毫无疑问,在芯片验证中遗漏bug既耗时又耗钱。常常有些团队不遵循良好的验证意识,导致验证项目失败。下面列出了芯片研发团队常犯的一些导致芯片遗漏bug的错误:第一,验证工程师在验证设计时基于设计的具体实现而不是原始规格。此时的验证仅仅证明设计自己与自己功能相同,我们的验证需求应该来...

  • 基于SystemVerilog和基于verilog的验证平台有什么不同

    在基于Verilog或VHDL的验证平台中,验证平台和DUT连接在一起,验证平台中包含激励发送和响应监测模块,在仿真的开始DUT和验证平台就被加载到仿真器的内存当中并在整个仿真期间一直存在。也就是说,验证平台和DUT都是静态对象。SystemVerilog是对于Verilog的扩...

    公众号精选
    2021-11-12
  • UVM Report机制概述

    在testbench的搭建以及仿真的过程中,我们或多或少需要输出一些调试信息,我们需要能够方便快捷地关闭或者开启这些调试信息,如何做到这一点?一种比较简单的方法,就是给$display()加上宏,在仿真的开始定义该宏的值,在每一个打印信息前面都加个宏判断,从而确定调试信息是否打印...

    公众号精选
    2021-11-12
  • UVM_Agent中一般包含哪些内容

    大多数dut都有许多不同的接口(interface),每个接口都有自己特有的协议。UVMagent的任务就是集中管理和这个接口相关的所有内容,主要是各种用于驱动和监测DUT的验证组件(uvm_components),这些组件的命令最好都使用同一个前缀进行命名。 Uvm_agent...

    公众号精选
    2021-11-12