关闭

芯片验证工程师

所属频道 公众号精选
分享技术、享受生活
  • 在验证中采用最新技术的缺点!

    “验证很多人都清楚,验证技术一直在发展,个人技术成长不进则退。于是采用最新的验证方法和趋势是很多验证牛人趋之若鹜的事情。一旦验证大佬尝试了某个事情,可能很快就会在团队传播起来,这就是偶像效应。这时候,这项技术仿佛就是经过检验了的真理,也不管实际的应用场景和根本逻辑了。这些新技术包...

  • VLSI面试问题

    1、仿真(SIMULATION)和综合(SYNTHESIS)之间的区别是什么?Simulation

  • 中断的本质是外部给CPU的一个数字信号?

    对又不对。对:中断的主要源头都是来自外部的,因而它主要想解决外部的触发问题,内部的问题是捎带着处理一下。所谓"外部"的中断信号,要看有多"外"。有在cpu外面,但是仍然是芯片里面的,比如uart,i2c,pwm,timer,看门狗等总线上挂接设备发的中断,我们暂且叫他们第一类中断...

  • 不要让这10个验证思维毁掉你

    1、这是继承的代码,不需要验证。你100%确定这个代码经过完备验证么?你确定没有人后来修改过么?你确定和这个代码相关的一切都没有变化么? 2、我可以在5分钟内想出一个补丁。只要你确定你的验证环境不会成为一个屎山。问问你自己,一周以后你还记得这段代码是什么意思么?与其花几个小时修改...

  • 数字芯片综合面试问题

    1、为设计执行综合时使用的各种设计约束是什么?1.1、创建时钟(频率、占空比)。1.2、定义输入端口的transition-time要求1.3、指定输出端口的负载值1.4、对于输入和输出,指定延迟值(输入延迟和输出延迟),这些延迟已经被相邻芯片消耗。1.5、指定case-sett...

  • 互联网/芯片验证/烤面筋和理发师,也许赚得差不多

    芯片验证通常被视为设计的衍生。十年前的验证不如设计那么重要,新手的设计经常被安排进行一些验证,大多数验证工程师想要成为设计也就不足为奇了。但现在,验证可能是比设计更有利可图的职业选择,许多有经验的人会坚持验证,而不会考虑转向设计。一般估计,70%的芯片开发周期用于功能验证。验证工...

  • 亚稳态介绍

    从外部世界到时钟电路的任何异步输入都是一个不可靠的信号来源,因为总是有概率在异步信号正在改变的时候采样。 同步电路,例如触发器能指定Setup时间和Hold时间,而异步电路却不行。异步电路可能采样到1)转换前信号的状态。2)转换后信号的状态。3)触发器变成亚稳态。 前两种可能性对...

  • 深刻理解跨时钟域:三个主要问题和解决方案

    如今,SoCs正变得越来越复杂,数据经常从一个时钟域传输到另一个时钟域。上图信号A由C1时钟域触发,被C2时钟域采样。根据这两个时钟之间的关系,在将数据从源时钟传输到目标时钟时,可能会出现不同类型的问题,并且这些问题的解决方案也有所不同。本文讨论了不同类型的跨时钟域,以及每种类型...

  • 同步和异步跨时钟域示例分析

    本文主要介绍各种类型的跨时钟域问题。同步时钟是指具有已知相位和频率关系的时钟。这些时钟本质上是来自同一时钟源。根据相位和频率关系,可分为以下几类:具有相同频率和零相位差的时钟具有相同频率和固定相位差的时钟具有不同频率和可变相位差的时钟整数倍时钟非整数倍时钟具有相同频率和零相位差的...

  • 跨时钟域数据传输上的验证考量

    跨时钟域验证可分为结构验证和功能验证两类。结构验证确保在需要的地方添加了适当的同步逻辑。功能验证确保已添加的逻辑实现了预期的功能。仅通过执行结构验证,就可以检测到许多CDC问题。这些检查比功能验证更简单、更快。因此,验证应从结构检查开始,检测到的问题应在转向功能验证之前进行修复。...

  • 收好这份验证工程师的学习曲线!

    学习曲线的定义为"在一定时间内获得的技能或知识的速率"。对于验证工程师,哪一种工作环境能提供最大的学习机会?1)IP验证2)SOC验证3)验证IP开发在工作时所具备的技能应该符合整个行业的要求,并且应该可以跨公司携带。假设你正在使用该公司的内部工具对处理器设计进行验证,方法和工具...

  • 提高验证效率,做一个不加班不丢球的高质量人类验证工程师

    相信很多人都听说过这样的一个论断:“功能验证需要占用芯片设计周期的70%”。编写测试计划/可重用验证环境/设计断言、定位RTLbug、实现代码覆盖和功能覆盖目标以及门级仿真和调试,是功能验证工程师在tapeout前经历的一些常见活动。如果DUT有更多的bug,验证工程师的工作就会...

  • SystemVerilog中还有一个final block

    相信很多人都知道并且使用过Verilog中的initial语句块,用来初始化一些变量、持续生成时钟和复位信号以及setvirtualinterface等等。finalblock是在SystemVerilog中引入的一个新概念。final begin        $display...

  • 3个SystemVerilog新特性!

    3个SystemVerilog新特性!01`begin_keyword`end_keyword硬件描述语言中有很多特殊的编译或者综合等工具的预执行指令,在某些场景下我们可以利用一下HDL之外的语法去指导工具,而不是信马由缰。这两个define可以在代码的任何部分之间使用,以保持S...

  • 什么造就一个NB的验证团队

    01顺“势”而为这个“势”不是指黑恶势力,也不是办公室政治。验证技术一直在以非常快的速度发展,优秀的验证团队应该跟随前沿的验证技术,并在项目中实施这些技术内化为团队的能力。普通和平庸的团队往往缺少技术的挖掘和交流,只根据以往的经验和方式谋生。02技术分享验证团队要想出彩,最重要的...

    公众号精选
    2021-12-07